Mobile QR Code QR CODE

REFERENCES

1 
Tanaka H., Kido M., Yahashi K., Oomura M., Katsumata R., Kito M., Fukuzumi Y., Sato M., Nagata Y., Matsuoka Y., Iwata Y., Aochi H., Nitayama A., Jun 2007, Bit Cost Scalable Technology with Punch and Plug Process for Ultra High Density Flash Memory, IEEE Symp. VLSI Technol. Dig., pp. 14-15DOI
2 
Jang J., Jun 2009, Vertical Cell Array using TCAT (Terabit Cell Array Transistor) Technology for Ultra High Density NAND Flash Memory, Proc. Symp. VLSI Technol., pp. 192-193Google Search
3 
Baek M.-H., Kim D.-B., Kim S., Lee S.-H., Park B.-G., Apr 2017, Process Variation on Arch-structured Gate Stacked Array 3-D NAND Flash Memory, J. Semicond. Technol., Vol. 17, No. 2, pp. 260-264DOI
4 
Fukuzumi Y., Matsuoka Y., Kito M., Kido M., Sato M., Tanaka H., Nagata Y., Iwata Y., Aochi H., Nitayama A., Dec 2007, Optimal Integration and Characteristics of Vertical Array Devices for Ultra-High Density, Bit-Cost Scalable Flash Memory, IEEE IEDM Tech. Dig., pp. 449-452DOI
5 
Hsu T.-H., Lue H.-T., Lai E.-K., Hsieh J.-Y., Wang S.-Y., Yang L.-W., King Y.-C., Yang T., Chen K.-C., Hsieh K.-Y., Liu R., Lu C.-Y., Dec 2007 , A High-Speed BE-SONOS NAND Flash Utilizing the Field-Enhancement Effect of FinFET, IEEE IEDM Tech. Dig., pp. 913-916DOI
6 
Lue H.-T., Dec 2005, BE-SONOS: A Bandgap Engineered SONOS with Excellent Performance and Reliability, IEEE IEDM Tech. Dig., pp. 547-550DOI
7 
Lee C.-H., Feb 2006, Charge Trapping Memory Cell of TANOS (Si-Oxide-SiN-Al2O3-TaN) Structure Compatible to Conventional NAND Flash Memory, IEEE Non-Volatile Semiconductor Memory WorkshopDOI
8 
Ahn D.-C., Seol M.-L., Hur J., Moon D.-I., Lee B.-H., Han J.-W., Park J.-Y., Jeon S.-B., Choi Y.-K., Feb 2016, Ultra-Fast Erase Method of SONOS Flash Memory by Instantaneous Thermal Excitation, IEEE Electron Device Lett., Vol. 37, No. 2, pp. 190-192DOI
9 
Hsu T.-H., Lue H.-T., Du P.-Y., Chen W.-C., Yeh T.-H., Lo R., Chang H.-S., Wang K.-C., Lu C.-Y., May 2019, Study of Self-Healing 3D NAND Flash with Micro Heater to Improve the Performances and Lifetime for Fast NAND in NVDIMM Applications, IEEE International Memory Workshop (IMW), pp. 1-4DOI
10 
Righetti N., Puzzilli G., Oct 2017, 2D vs 3D NAND Technology: Reliability Benchmark, IEEE International Integrated Reliability Workshop (IIRW). Dig., pp. 1-6DOI
11 
Park J.-Y., Yun D.-H., Kim S.-Y., Choi Y.-K., Feb 2019, Suppression of Self-Heating Effects in 3-D V-NAND Flash Memory using a Plugged Pillar-Shaped Heat Sink, IEEE Electron Device Lett., Vol. 40, No. 2, pp. 212-215DOI
12 
Park J.-Y., Nov 2016, Threshold Voltage Tuning Technique in Gate-All-Around MOSFETs by Utilizing Gate Electrode With Potential Distribution, IEEE Electron Device Lett., Vol. 37, No. 11DOI
13 
Serway R. A., 1994, Principles of Physics: Harcourt Brace College PublishersGoogle Search
14 
Lue H.-T., Chen S.-H., Shih Y.-H., Hsieh K.-Y., Lu C.-Y., Oct 2012, Overview of 3D NAND Flash and Progress of Vertical gate (VG) Architecture, Proc. IEEE ICSICT, pp. 1-4DOI
15 
Katsumata R., Kito M., Fukuzumi Y., Kido M., Naka H. T., Komori Y., Ishiduki M., Matsunami J., Fujiwara T., Nagata Y., Zhang L., Iwata Y., Kirisawa R., Aochi H., Nitayama A., Jun 2009, Pipe-shaped BiCS flash memory with 16 stacked layers and multi-level-cell operation for ultra high density storage devices, Symp. VLSI Technol. Dig., pp. 136-137Google Search