Mobile QR Code QR CODE

References

1 
Nagy, Daniel, et al. "FinFET versus gate-all-around nanowire FET: performance, scaling, and variability." IEEE Journal of the Electron Devices Society 6 (2018): 332-340.DOI
2 
Das, Uttam Kumar, and Tarun Kanti Bhattacharyya. "Opportunities in device scaling for 3-nm node and beyond: FinFET versus GAA-FET versus UFET." IEEE Transactions on Electron Devices 67.6 (2020): 2633-2638..DOI
3 
Razavieh, Ali, Peter Zeitzoff, and Edward J. Nowak. "Challenges and limitations of CMOS scaling for FinFET and beyond architectures." IEEE Transactions on Nanotechnology 18 (2019): 999-1004.DOI
4 
Loubet, N., et al. "Stacked nanosheet gate-all-around transistor to enable scaling beyond FinFET." 2017 Symposium on VLSI Technology. IEEE, 2017.DOI
5 
Bae, Geumjong, et al. "3nm GAA technology featuring multi-bridge-channel FET for low power and high performance applications." 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 2018.DOI
6 
Yakimets, D., et al. "Power aware FinFET and lateral nanosheet FET targeting for 3nm CMOS technology." 2017 IEEE International Electron Devices Meeting (IEDM). IEEE, 2017.DOI
7 
Yang, Giyoung, et al. "Standard Cell Design Optimization with Advanced MOL Technology in 3nm GAA Process." 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022.DOI
8 
Pal, Ashish, et al. "Impact of MOL/BEOL air-spacer on parasitic capacitance and circuit performance at 3 nm node." 2019 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD). IEEE, 2019.DOI
9 
S. C. Song, "Design/System Technology Co-Optimization for 3nm Node and Beyond." 2021 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA). IEEE, 2021..DOI
10 
Li, Hong, et al. "Carbon nanomaterials for next-generation interconnects and passives: Physics, status, and prospects." IEEE Transactions on electron devices 56.9 (2009): 1799-1821.DOI
11 
Raychowdhury, Arijit, and Kaushik Roy. "Modeling of metallic carbon-nanotube interconnects for circuit simulations and a comparison with Cu interconnects for scaled technologies." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 25.1 (2005): 58-65.DOI
12 
Zhou, Changjian, and Cary Y. Yang. "3D Nanocarbon Interconnects." 2020 IEEE 15th International Conference on Solid-State & Integrated Circuit Technology (ICSICT). IEEE, 2020.DOI
13 
Nogami, Takeshi. "Overview of interconnect technology for 7nm node and beyond-New materials and technologies to extend Cu and to enable alternative conductors." 2019 Electron Devices Technology and Manufacturing Conference (EDTM). IEEE, 2019.DOI
14 
Nogami, Takeshi, et al. "Advanced BEOL Materials, Processes, and Integration to Reduce Line Resistance of Damascene Cu, Co, and Subtractive Ru Interconnects." 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits). IEEE, 2022.DOI
15 
Van der Veen, Marleen H., et al. "Cobalt bottom-up contact and via prefill enabling advanced logic and DRAM technologies." 2015 IEEE International Interconnect Technology Conference and 2015 IEEE Materials for Advanced Metallization Conference (IITC/MAM). IEEE, 2015.DOI
16 
Jiang, Junkai, et al. "Intercalation doped multilayer-graphene-nanoribbons for next-generation inter-connects." Nano letters 17.3 (2017): 1482-1488.DOI
17 
Song, Taejoong, et al. "3nm Gate-All-Around (GAA) Design-Technology Co-Optimization (DTCO) for succeeding PPA by Technology." 2022 IEEE Custom Integrated Circuits Conference (CICC). IEEE, 2022.DOI
18 
Clark, Lawrence T., et al. "ASAP7: A 7-nm finFET predictive process design kit." Microelectronics Journal 53 (2016): 105-115.DOI
19 
Vashishtha, Vinay, and Lawrence T. Clark. "ASAP5: A predictive PDK for the 5 nm node." Microelectronics Journal (2022): 105481.DOI
20 
Bhanushali, Kirti, and W. Rhett Davis. "FreePDK15: An open-source predictive process design kit for 15nm FinFET technology." Proceedings of the 2015 Symposium on International Symposium on Physical Design. 2015.DOI
21 
Dixit, Hemant, et al. "How thin barrier metal can be used to prevent Co diffusion in the modern integrated circuits?." Journal of Physics D: Applied Physics 50.45 (2017): 455103.DOI
22 
Lee, Yeji, et al. "Investigation on the Effects of Interconnect RC in 3nm Technology Node Using Path-Finding Process Design Kit." IEEE Access 10 (2022): 80695-80702.URL
23 
Agashiwala, Kunjesh, et al. "Demonstration of CMOS-compatible multi-level graphene inter-connects with metal vias." IEEE Transactions on Electron Devices 68.4 (2021): 2083-2091.DOI
24 
International Roadmap for Devices and Systems (IRDS) 2022 Edition, IEEE-SA, Manhattan, NY, USA, 2022. [Online]. Available : https://irds.ieee. org/editions/2022URL
25 
Duarte, Juan P., et al. "BSIM-CMG: Standard FinFET compact model for advanced circuit design." ESSCIRC Conference 2015-41st European Solid-State Circuits Conference (ESSCIRC). IEEE, 2015.DOI
26 
Jiang, Junkai, Jae Hwan Chu, and Kaustav Banerjee. "CMOS-compatible doped-multilayer-graphene interconnects for next-generation VLSI." 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 2018.DOI
27 
Cao, Wei, et al. "2-D layered materials for next-generation electronics: Opportunities and challenges." IEEE Transactions on Electron Devices 65.10 (2018): 4109-4121.DOI
28 
Agashiwala, Kunjesh, et al. "Reliability and performance of CMOS-compatible multi-level graphene interconnects incorporating vias." 2020 IEEE International Electron Devices Meeting (IEDM). IEEE, 2020.DOI