Mobile QR Code QR CODE

  1. (Department of Electrical and computer Engineering, Sungkyunkwan University, Suwon, 16419, Korea)
  2. (SKAIChips, Sungkyunkwan University, Suwon, 16419, Korea )



Radio frequency to direct current (RF-DC), energy harvesting (EH), power conversion efficiency (PCE), wireless sensors network (WSN)

I. INTRODUCTION

The recent advancement in ultra-low power and wireless communication technologies increases the research interest in energy harvesting. Radiofrequency (RF) energy harvesting provides a progressive approach for the power supply to wireless sensor networks (WSN), the internet of things (IoT), and wearable devices [1,2]. RF energy harvesting is a feasible and promising solution for powering these wearable electronic devices due to the availability of RF in an ambient environment where all the other energy sources may not be available or limited availability. RF energy harvesting reduces the time dependency as compared to solar, thermal, EM, and vibrational energy harvesting sources. Also solar and thermal energy harvesting process varies with weather conditions [3]. The only ultimate solution to provide a long-term power supply and replace the batteries is energy harvesting technology.

Fig. 1 shows different types of energy harvesting present in the ambient environment such as solar energy [4], RF energy [5-9], thermal energy [10], electromagnetic (EM) energy [11], and vibrational energy [12]. Solar energy harvesting uses the photovoltaic effect to convert sunlight into electrical energy. Even though solar energy is the most abundant, it is not a promising energy source for wireless sensors that are normally mounted indoors and run 24 hours a day, seven days a week. For solar energy solar panels are used to harvest energy from the environment. The power conversion efficiency (PCE) of a common solar cell is about 20% [13]. Solar cells are integrated with the RF antenna and serve as the antenna's ground plane. However, the performance is restricted to a narrow band. RF energy harvesting is the process of harvesting RF energy and then converting it into electrical energy that can be utilized in daily lives. Numerous research has been conducted in the previous years to examine the viability of utilizing ambient RF energy as an alternative source [14-19]. Wireless radio networks, cellular towers, and television (TV) towers radiate RF energy almost everywhere in the environment [20-23]. According to recent surveys, the density of the measured RF energy level reveals promising possibilities in harvesting ambient RF energy for low-power devices. The sensor nodes in wireless sensor networks are arranged on a broad scale for monitoring applications such as IoT, healthcare [24-27], smart city environment monitoring [28], and industrial manufacturing [29,30]. When thermoelectric materials are heated, they develop an electric potential. Thermoelectric generators are a simple example of thermal energy. They can also apply in reverse, for producing heat by applying an electric potential. Human body is used as a thermal source, which has the power density of thermal energy is roughly 20~mW/cm$^{2}$ to 60 mW/cm$^{2}$ at ambient room temperature [31]. Micro-strip lines [32,33], and coplanar strip lines (CPS) [34] are now proposing and designing several types of dual/multi-band rectifiers. Vibration energy harvesters use the piezoelectric effect to transform kinetic energy (human movement or industrial vibration) into electrical energy [35,36]. However, the human must take breaks or the machine will not be able to run continuously. The output power and bandwidth of Vibration energy harvesting devices must be enhanced in order to produce workable Vibration energy harvesting systems. The flux path should be designed to increase the magnetic flux over the coil to improve output.

The RF energy harvesting approach has several important characteristics that set it apart from other sources. The major characteristics that distinguish RF energy harvesting from other energy sources are reliability and affordability. Reliability is defined as gathering enough energy to allow sensor nodes in any sensor network to operate continuously at any time and in any location. Also, RF energy sources including cellular networks, TV networks, radio networks, Bluetooth signals, and Wi-Fi are available both indoors and out, ample and continuous energy may be captured for RF-powered wireless sensors. Wireless sensors powered by non-RF energy sources are more expensive than those powered by RF energy. In communication systems such as wireless power communication (WPC) and simultaneous wireless information power transfer (SWIPT) systems, the RF energy harvesting can be combined with information transfer and can be used productively [37,38]. Furthermore, the application of low-power wireless devices is on the rise. Due to these attributes, RF-EH has gained a lot of attention which led to more research being carried out in finding significant advancements in the technique [39,40].

RF signals in the frequency range of 3 kHz to 300 GHz can be employed in the RFEH, which can be based on near-field or far-field energy transfer. Table 1 shows the comparison techniques between near-field and far-field wireless power transfer (WPT) for power transfer. (a) near-field (non-radiative) technique, and (b) far-field (radiative) technique [41]. Fig. 2 shows the general form of near-field (a) and far-field (b). Near-field is further divided into magnetic resonance and inductive coupling which is used for power transfer. A good example of a technology that operates in both the near-field and far-field regions is radio frequency identification (RFID). There are two frequency range classes in the passive RFID systems. One is a high-frequency RFID system and the other is an ultra-high frequency RFID system. A high-frequency RFID system frequency range is 3 MHz to 30 MHz and can operate from a few centimeters to a meter in the near-field zone, while an ultra-high frequency RFID system frequency range is from 300 MHz to 1GHz and can operate across a longer range of up to 100 m in the far-field region [42]. In the near-field region, RF power transfer conversion efficiency is higher than in the far-field region [43]. The power density value is directly proportional to the distance from the source, therefore, the power density increases in the near-field and decreases in the far Even though the power density is significantly important, but the far field has a wide area to harvest energy from the environment. Moreover, sometimes due to the need and demand of the architecture the energy collecting device may need to be located a distance from the source. The near-field transfer is utilized to power household appliances, while far-field transfer remains a research problem, particularly in terms of boosting conversion efficiency. We concentrate on the output voltage and efficiency in the far-field region.

The remaining review paper is further organized as follows; section II describe the review of the energy harvesting system, section III presents the Antenna design and Impedance matching network, section IV presents the detail of the RF-DC converter, and finally, section V concludes the review paper.

Fig. 1. Different energy sources for energy harvesting system.
../../Resources/ieie/JSTS.2022.22.5.304/fig1.png
Fig. 2. Wireless power transfer (WPT) architectures: (a) Near field WPT; (b) Far field WPT.
../../Resources/ieie/JSTS.2022.22.5.304/fig2.png
Table 1. Comparison techniques between Near-field and Far-field WPT

Field Region

WPT technique

Propagation

Efficiency

Distance

Near-field

Resonant inductive coupling

Non-radiative

From 5.81% to 57.2% when frequency is 16.2 kHz to 508 kHz

From few millimeters to few centimeters

Magnetic resonance coupling

Non-radiative

From above 90% to above 30% when distance if from 0.75m to 2.25m [41]

From few centimeters to few meters

Far-field

RF energy transfer

Radiative

0.4%, above 18.2% and over 50% at 40 dBm, -20 dBm and -5 dBm input power [42]

Depend on distance, frequency, and the sensitivity (typically from several meters to several kilometers) [33]

II. REVIEW OF RF ENERGY HARVESTING SYSTEM

The objective of RF energy harvesting is to harvest electromagnetic waves traveling in free space and convert them into functional electrical energy that can be used in driving electronic devices. Fig. 3 presents the block diagram of a far-field RF energy harvesting system which is composed of an RF source that transmits the RF power by the transmitter antenna to the ambient environment. This RF source may be a cellular transmission, TV tower, AM/FM radio transmission, Wi-Fi, or dedicated RF power source. The dedicated RF signal is transmitted under the rule of the Federal Communication Commission (FCC). The transmission of signals in the FM band, TV band, and GSM band all require a license. These RF signals catch by a receiver antenna which is connected to the impedance matching network, an RF-DC converter circuit, and the rectified power is stored in the storage device. The rectifier is the major block of an RFEH system that converts the collected RF energy into a useful electrical output.

Numerous factors affect the performance of an RF energy harvester in a far-field WPT system. For example, path loss limits the signal strength received at the RF energy harvester's input, signal attenuation over distance from the power source is unpredictable, and there are hindrances between the RF energy harvester and the antenna orientation, power source, and the medium of transmission in which the RF energy harvester is used [44]. Free-space path loss describes the deterioration of signal strength. It is determined by the transmitting signal frequency, antenna gain, and the distance from the transmitter to the receiver. The transmitted and received power can be calculated by the Frii formula as

(1)
$ P_{R}=\frac{P_{T}G_{T}G_{R}\lambda ^{2}}{\left(4\pi R\right)^{2}} $

where the transmitted and received power to the antenna is P$_{\mathrm{T}}$ and P$_{\mathrm{R}}$ respectively. Similarly, the transmitting antenna gain is G$_{\mathrm{T}}$, and receiving antenna gain is G$_{\mathrm{R}}$. the wavelength of the transmitting signal is determined by $\lambda $, while the distance between the transmitting and receiving antenna is denoted by R. By using the above equation the path loss P$_{\mathrm{L}}$ will be

(2)
$ P_{L}=\frac{\left(4\pi R\right)^{2}}{G_{T}G_{R}\lambda ^{2}} $

By solving the above equation we get

(3)
$ P_{L}=32.4+20\log _{10}\left(f\right)+20\log _{10}\left(R\right)-G_{T}-R_{G} $

A rectifier circuitry with a high PCE is required to collect a considerable amount of the incoming RF signals from the antenna source. The key efficiency influences are known to be input power P$_{\mathrm{IN}}$, diode selection, junction capacitance, higher-order harmonics, operating frequency, and load resistance R$_{\mathrm{L}}$. When operating at a very low power level, such as -20 dBm, a diode that operates well at relatively high P$_{\mathrm{IN}}$ levels does not have the same characteristics. The ratio of the power collected by the RF energy harvester to the RF input power received by the receiving antenna is the PCE of the RF energy harvester [45-47]. The PCE of the received RF signal can be calculated by the following equation.

(4)
$ \eta _{PCE}\% =\frac{P_{dc}}{P_{in}}\times 100\% =\left(\frac{V_{dc}^{2}}{R_{L}}\right)\times \left(\frac{1}{P_{in}}\right)\times 100\% $

where ƞ$_{\mathrm{PCE}}$is the efficiency of the RF-DC rectifier, while P$_{\mathrm{DC}}$ and P$_{\mathrm{IN}}$ are the output and input power of the rectifier respectively. Maximum PCE can be achieved only when the antenna's output impedance and the load's impedance become conjugate to each other due to appropriate impedance matching. Fig. 4 shows the PCE of the input power level of the conventional rectifier and reconfigurable rectifier.

Fig. 3. Block diagram of far field RF-DC energy harvester.
../../Resources/ieie/JSTS.2022.22.5.304/fig3.png
Fig. 4. Conventional rectifier design performance: (a) PCE at low power; (b) PCE at high power; (c) reconfigurable rectifier.
../../Resources/ieie/JSTS.2022.22.5.304/fig4.png

The RF energy harvester's sensitivity can be described as the minimal value of P$_{\mathrm{in}}$ required to perform the RF energy harvester's operation. The RF power received is measured in the milli or micro-watt level, while the sensitivity is measured in decibels (dBm). The efficiency of the system is the major criteria for evaluating its performance. Efficiency is deduced by converting the input RF power and its sensitivity. Sensitivity is the measure of the least input RF power level to begin the scavenging process by the system. The sensitivity of a system can be calculated by the formula

(5)
$ P_{dBm}=10\log _{10}\left(P_{mW}\right) $

The power management unit (PMU) sets the number of rectifier stages to the maximum allowed by the design in order to produce the highest voltage at a low input power level while boosting sensitivity. The threshold voltage of the CMOS technology influences the RF energy harvester's sensitivity. The CMOS circuits with a low threshold voltage are more sensitive, but it also results in increased leakage current, which affects the RF energy harvester's overall PCE. Consequently, when a receiver is far away from an RF transmitter, it may only be able to interpret information and not be able to extract energy from the RF signals. As a result, enhancing the RF energy collecting circuit's sensitivity is critical.

III. ANTENNA DESIGN AND IMPEDANCE MATCHING NETWORK

1. Antenna Design

An antenna is a type of radiofrequency sensor that detects RF signals. It senses the electromagnetic signals from the ambient environment. An antenna could be a single wire of varying lengths depending on the frequency wavelength, or it could be specially designed and built conductors on a certain material. In RF energy harvesting, the antenna plays a crucial role, with important factors such as directivity, reflection$\left| S11\right| $, VSWR, gain, and so on. The $\left| S11\right| $ of some different frequencies are shown in Fig. 5 The antenna gain is determined by the area occupied by the conductor and its form, whereas the directivity is determined by the type of antenna and the material used in the antenna. High gain antennas are preferable in terms of antenna gains since they boost conversion efficiency and the amount of captured energy. The radiation from an antenna might be isotropic or directed. A directional antenna can be used to improve the quantity of RF energy captured when the location of an RF signal source (transmitter) is known. An isotropic antenna can be used instead. The polarization of an antenna determines the direction of an electric field at a certain observation point. The conversion efficiency improves when both the transmitting and receiving antennas have the same polarization. The antenna polarization types are horizontal, vertical, circular, and elliptical. Multiple antennas are capable of harvesting more power [33, 48-50], and this additional power may improve the RF-DC conversion efficiency [49-51]. However, utilizing multiple antennas increases the circuit size and costs [52]. Different antenna configurations for RF energy harvesting are described by the authors in [53]. In [54], a comparative examination of numerous antenna topologies is proposed. The structure of existing antennas. However, there is a trade-off between antenna performance and antenna size. [55,56] have all implemented narrow-band antenna designs (up to tens of MHz). Dual-bands are also designed in [57-59]. Furthermore, current research has concentrated on broadband antennas [60-62].

Fig. 5. Reflection coefficient of $\left| S_{11}\right| $ Parameter for different frequency range.
../../Resources/ieie/JSTS.2022.22.5.304/fig5.png

2. Impedance Matching Network

The maximum power transfer theorem is the base of matching networks. The receiving antenna and the rectifier are both considered sources and loads in WPT applications. In DC circuits, it is well known that the most power is delivered when circuit resistances, and impedance, rather than the load and source are identical. A good match reduces the reflection of the collected input RF signal, which improves the performance of the impedance matching network. When the rectifier and antenna impedances are matched, the reflection drops to zero, reducing the reflected signal and increasing signal transmission from the antenna to the rectifier. The impedance matching circuit matches the impedance by resonating both the source and load impedances at a specific frequency by storing and discharging charges. As illustrated in Fig. 6 there are three basic types of matching networks for RF energy harvesting: L-type, ${\pi}$ -type, and T-type matching networks [61]. The L-matching network is the most basic impedance matching network that has been developed and studied for the RFEH system [22, 58, 63, 64]. In the L-type matching network, the circuit's quality factor (Q) remains unchanged. As a result, Q cannot be freely chosen because it is determined by the matching factor which is the key constraint of the L-type matching network. A ${\pi}$-type matching network and a T-type matching network are used to overcome this limitation. The quality factor of the matching network can be determined by the following equations

(6)
$ Q=\frac{\omega .Energy}{Power_{Lost}} $

Q is a measure of the energy stored in the reactance compared to the energy wasted by the resistance. We can calculate the quality factor Q of the capacitive reactance by the following equation

(7)
$ Q=\frac{\omega \left(~ \frac{I^{2}}{2\omega ^{2}C}~ \right)}{Power_{Lost}} $

The ${\pi}$-type and T-type matching networks are more sophisticated than the L-type matching network, and the Q of the circuit is altered. These matching networks are useful for raising the RF input voltage levels and the overdrive voltage of the CMOS transistors in RF rectifier circuits. Two back-to-back L-type matching networks provide the foundation of the -type matching. Combining two L-type networks yields a -type network with a greater Q.

Based on the fluctuation of impedance in the RF rectifier at different input power levels, [58] suggests a probability density distribution approach. This method decides the optimum value for the components to achieve the best performance of the impedance matching network circuit across the operating input RF power range when designing for an RFEH system. Three separate capacitors were used to try to balance the inductive component of the antenna. The control unit chose the appropriate capacitor based on the input power level. There is no need for an impedance matching circuit between the antenna and the rectifier because of the same value of the impedance matching circuit. In [65] Instead of lumped components, an impedance matching network was built for ultra high frequency applications employing transmission lines and self-made metal-insulator-metal diodes. A Fixed and tunable Impedance matching network was also introduced as a technique for better matching with wide-band and multi-band antennas [65-67]. A better dynamic impedance matching network was proposed in [70] for maximizing the captured energy.

Fig. 6. Impedance matching network (IMN) configuration.
../../Resources/ieie/JSTS.2022.22.5.304/fig6.png

IV. RF-DC CONVERTERS

This section review the various RF-DC Converter architectures, their circuit designs, and their advantages and disadvantages. Fig. 7 shows the block diagram of the RF-DC converter. The rectifier is the main block of the RF-DC energy harvesting system. The rectifier circuit is used to convert the input RF signal or AC signal to DC power. The rectifier of the RF energy harvesting system affects the overall efficiency of the system. Some rectifier circuit parameters that must be tuned to improve RF-DC power conversion efficiency includes operating frequency, input power level, input voltage level, parasitic effects, input impedance, and output impedance. For circuit implementation of RF-DC converters, a variety of methodologies have been used, including technology-based techniques and CMOS-based techniques. For circuit design of the RF energy harvester, technology-based methodologies traditionally use HSMS diodes and Schottky diodes because of their low threshold voltage. The active-circuit technique and the passive-circuit method are two approaches used in CMOS technology. The active circuit approach necessitates the use of an external battery to power the circuit and is most commonly employed in active RFID or active sensors. The rectifier described in [89] uses an external battery to provide a bias voltage using an active technique. [90] Presents a threshold voltage compensation scheme in which auxiliary transistors are used to generate the compensating voltage for the main rectification chain. The threshold voltage of rectifying devices has a significant impact on rectifier performance. The threshold voltage is the voltage at which the transistor must be turned on for the rectifier to operate. As a result, the number of stages in a rectifier must be carefully chosen, as it has a direct impact on the rectifier's performance. Though the output voltage of a rectifier can be increased by increasing the number of stages, the PCE of a multi-stage rectifier is reduced due to the greater voltage drop across the transistors. As a result, PCE and the number of stages of the rectifier are mutually exclusive. The rectifier's PCE decreases as the number of stages increases, and vice versa. A number of options for lowering the cost of living have been suggested. Table 2 performance comparison and summary of recent rectifier designs on Diode based and CMOS based.

A rectifier can be configured in three ways: (a) diode-based [91], (b) bridge of diodes [92], and (c) voltage multiplier. A rectifier circuit's most important component is the diode. The saturation current, junction capacitance, and conduction resistance of the diode are all important factors in the rectifier's performance [93]. The PCE of the rectifier circuit is determined by the diode's performance. Fig. 8 shows the basic topologies of the rectifiers which include (a) half-wave rectifier topology (b) full-wave rectifier topology and (c) bridge rectifier topology. The peak level of the AC voltage signal is represented by V$_{\mathrm{peak}}$. There is only one diode D$_{1}$ in the half-wave rectification circuit.

The positive voltage cycle goes through the input of the half-wave diode D$_{1}$, while the negative voltage cycle is lost. This method is the simplest in terms of RF energy harvesting, however, it is not ideal for all applications. Two diodes D$_{1}$ and D$_{2}$ and two capacitors C$_{1}$ and C$_{2}$ make up the full-wave rectification circuit. The diode D$_{2}$ is turned off when the diode D$_{1}$ conducts a negative voltage cycle and the capacitor C$_{1}$ is charged to the V$_{\mathrm{peak}}$ voltage level. Similarly, the diode D$_{2}$ conducts the positive voltage cycle and charges the capacitor C$_{2}$, while the diode D$_{1}$ remains off. As a result, the full-wave rectification's output voltage V$_{\mathrm{OUT}}$ is equal to double the V$_{\mathrm{peak}}$ out voltage level over a period of time. Full-wave rectification is more efficient than half-wave rectification in terms of RF energy harvesting. There are four diodes in the bridge rectification circuit: D$_{1}$, D$_{2}$, D$_{3}$, and D$_{4}$. The diodes D$_{1}$ and D$_{4}$ are turned off when the diodes D$_{2}$ and D$_{3}$ conduct the positive voltage cycle for half a period. The diodes D$_{1}$ and D$_{4}$ conduct the negative voltage cycle over a half-cycle, while the diodes D$_{2}$ and D$_{3}$ are turned off. As a result, the bridge rectification's output voltage is at the V$_{\mathrm{peak}}$ voltage level [61].

Fig. 9 shows different voltage multiplier topologies i.e (a) two-stage voltage multiplier, (b) forward self-compensated NMOS transistors, (c) Diode connected Dickson voltage multiplier, and (d) Dickson voltage multiplier. The literature [94-98] has a variety of voltage multiplier topologies. Fig. 9(a) shows the differential voltage multiplier which is broadly used due because of its low leakage current property. However, for the conversion of single-ended to differential or differential antenna, differential circuits require a PCB balun, which adds to the cost and takes up space on the PCB board. Reference [99] provides a full explanation and study of differential multipliers. Several approaches to lowering the rectifying devices' threshold voltage have been proposed. Different Rectifier designs range is needed that adjust the threshold voltage of rectifying devices and maintain the high PCE of the rectifier throughout a wide input RF power. Fig. 9(b) shows a modified self-compensation circuit in which the gate terminals of a diode-connected NMOS transistor are connected to later stages to provide compensatory voltages. Cascading the multiple rectifier stages raises the overall output voltage. Individual body biasing provided by the triple-well diode-linked NMOS transistors reduces the fluctuation in threshold voltage between stages. These triple-well NMOS transistors, on the other hand, aren't necessarily compatible with other circuits. Furthermore, the triple-well configuration introduces parasitic capacitance at each node, resulting in increased losses. Furthermore, subsequent stage dummy NMOS transistors are not threshold adjusted, leading to extra power loss. Fig. 9(c) shows the circuit diagram composed of the NMOS Dickson voltage multiplier. For low power harvesting applications, the circuit is changed by supplying an input signal at Ф1 and grounding Ф2 [100]. The most prevalent voltage multipliers in wireless energy harvesting systems are Villard and Dickson voltage multipliers. Even with a relatively large parasitic capacitance value, the Dickson multiplier achieves\newline efficient multiplication when compared to the Cockroft-Walton multiplier. The Dickson topology is well-suited to low-power applications, and voltage multipliers for RF energy harvesting are commonly built on it. As the charge is transported along with the diode chain the multiplier functions by sequentially charging and discharging the coupling capacitors during each phase of the clock. To achieve efficient voltage multiplication, coupling capacitor C must be bigger than the parasitic capacitance of the diode as the number of stages increases. Dickson voltage multiplier, as depicted in Fig. 9(d) [94], has been proposed to overcome this constraint.

Fig. 10(a) and (b) show the block diagram and flowchart of the proposed Maximum Power Point Tracking (MPPT) for regulating and adjusting RF-DC converter stages by adding and controlling switches, respectively. As the RF power is not a fixed quantity and can change depending on the surroundings and environment, the harvested energy can be used instantly by the load, even in low-power applications. The optimization of RF energy harvesting must be done in the most adverse conditions feasible. The MPPT algorithm is used in the proposed RF-DC converter to automatically determine the number of stages based on the RF input power level and maintain the maximum PCE at the output. In order to operate the reconfigurable RF-DC converter, the control switches ($SW_{n}$, $\overline{SW_{n}}$) are combined in each step.

Fig. 11 presents the proposed MPPT's timing diagram for selecting the optimal number of stages by regulating the switches. The charging time of V$_{\mathrm{OUT}}$ from V$_{\mathrm{REFL}}$ to V$_{\mathrm{REFH}}$ was measured using a digital counter in the proposed MPPT algorithm. When 1-stage and 2-stage are switched on, ($SW_{2}$, $\overline{SW_{3}}$ to $\overline{SW_{n}}$) is turned on while ($\overline{SW_{2}}$, $SW_{3}$, and $\overline{SW_{n}}$) are turned off, the internal counter changes L to a new counted value in relation to V$_{\mathrm{OUT}}$ charging time. M and L, the two following counted values, are then compared. When L is less than M, the charging time is longer and the output power is higher. When L is more than M, however, the charging time is longer and the output power is lower when 1-stage and 2-stage are turned on. The counted value is continuously decreased until the N number of phases is enabled, and vice versa. The MPPT Controller completes the MPPT algorithm by locking with the corresponding switches.

Fig. 12 presents the block diagram of the reconfigurable RF-DC converter. Fig. 12(a) shows the series path operation and Fig. 12(b) shows the parallel path operation respectively. In a series operation, the comparator compares the proposed circuit's output voltage (V$_{\mathrm{OUT}}$) to a reference value (V$_{\mathrm{REF}}$). The comparator gives low-voltage "VCMP=L" and the inverter gives high output "H" as long as V$_{\mathrm{OUT}}$ is less than V$_{\mathrm{REF}}$. To allow the two identical rectifier blocks to work in series, this mechanism turns on the switch SW1 and turns off the switches SW2 and SW3. This boosts the harvested power at the output and, as a result, increases the PCE of the proposed technique at low input power levels. Similarly, when V$_{\mathrm{OUT}}$ exceeds V$_{\mathrm{REF}}$ in the parallel-path operation of the proposed circuit for a high input power range, the comparator produces high voltage "VCMP = H" and the inverter produces low voltage "L." At high input power levels, this improves the PCE of the proposed method. As a result, the proposed reconfigurable circuit's total PCE is extended and improved over a wider range of input power.

Fig. 13 presents the circuit diagram of the reconfigurable RF-DC converter [13]. The proposed architecture uses the internal threshold voltage compensation technique (IVC) to compensate for the threshold voltage of the transistors used in the architecture. In the primary rectification chain, the transistors Ma and Mb reduce the Vth of forward-biased transistors and minimize the reverse leakage current of reverse-biased transistors, respectively. The back compensated transistor Ma reduces Vth of the forward-biased transistors (Mp1 and M$_{\mathrm{p2}}$) and enhances harvested power in the main rectification chain during a positive phase of input power, as illustrated in Fig. 13(a) The rectifying devices M$_{\mathrm{p1}}$ and M$_{\mathrm{p2}}$ are reversed-biased during the negative phase of input power, as shown in Fig. 13(b) and V$_{\mathrm{sg}}$ of M$_{\mathrm{b}}$ is greater than Vth to switch it on. This reduces the leakage current in the rectification chain by lowering the source-gate voltages (Vsg1 and Vgs2) of transistors (Mp1 and Mp2). Both forward and reverse conduction produce the voltage drop (Vaux) across capacitor Caux, which can be expressed as:

(8)
$ V_{AUX}=V_{AUX}\times \left(Q_{FWD}+Q_{REV}\right) $

Fig. 14 shows that the RF EH system should be combined with the WPR system to boost charging distance and power levels simultaneously. Combining the WPR and EH systems not only expands the area marginally but also adds a significant burden. It is possible to receive high power using the WPR system in the environment where the A4WP transmitter is configured and to transfer power using the EH when the distance to the transmitter is great. These systems can have a significant effect in terms of the power supply based on distance. Furthermore, effective power management must be proposed in order to effectively regulate the best power sources.

The suggested hybrid EH system, shown in Fig. 14 combines solar energy harvester, triboelectric energy harvester, and RF energy harvesters to improve the WPR's overall efficiency and reliability. By increasing the operation range from micro-Watt (Ws) power to 3 W power level, the suggested design assures continuous power supply at its load via a simultaneous EH mechanism. The hybrid EH architecture uses a tetra-path to harvest different types of energy in order to keep the system's power conversion efficiency (PCE) high throughout a wide input power range. All EH pathways are active at the same time, harvesting energy from the environment. The high-power path and the low-power path are two paths in the RF energy harvester. The high-power path includes a 5.8 GHz RF-DC converter with a maximum RF input power of 3 W. The designed 5.8GHz RF-DC converter structure work for mid filed applications to harvest energy from the ambient source. The suggested circuit effectively converts high RF power to output dc voltage using 6 parallel stages and a 1-stage Dickson charge pump configuration. Following the on-chip adaptive matching network, the RF input power is distributed equally among the six parallel stages. Because 24-V Schottky diodes function effectively at high RF input power levels, their primary utility is to prevent diode breakdown at high RF input power levels. The six parallel stages operate concurrently to transform RF input power into output dc voltages. The storage capacitor stores the total output dc voltage from all stages and transmits it to the dc combiner circuit. Similarly, a dual-band (900 MHz and 2.4 GHz) RF-DC converter with a sensitivity of -17 dBm makes up the lower power route. The designed structure is composed of two Dickon charge pumps which are connected in parallel and maintained the high PCE over a wide input power range. The dc combiner circuit feeds the voltage (V$_{\mathrm{IN}}$) to the buck-boost dc-dc converter from the 5.8 GHz RF energy harvester and the solar energy harvester outputs. Depending on the availability of solar energy, RF energy, or both, the dc energy combiner functions in an individual or combination mode. For input voltages ranging from 3 to 8 V and load currents ranging from 100 to 500 mA, the proposed buck-boost dc-dc converter delivers a controlled output dc voltage (V$_{\mathrm{OUT}}$) of 5 V. The buck-boost dc-dc converter's V$_{\mathrm{OUT}}$. The battery eventually stores this information. The outputs of the dual-band RF energy harvester and the triboelectric energy harvester are combined and delivered to the buck-boost dc-dc converter, which produces a regulated V$_{\mathrm{OUT}}$ of 5 V. The high reliability of continuous supplying power at load is due to the simultaneous operation of different energy harvesters’ overextended input power range.

Fig. 7. Block diagram of RF-DC converter.
../../Resources/ieie/JSTS.2022.22.5.304/fig7.png
Fig. 8. Basic rectifier topologies: (a) Half wave rectifier; (b) Full wave rectifier; (c) Bridge rectifier.
../../Resources/ieie/JSTS.2022.22.5.304/fig8.png
Fig. 9. (a) Two stage differential multiplier; (b) Forward compensated NMOS transistors; (c) NMOS Dickson voltage multiplier; (d) Dickson voltage multiplier.
../../Resources/ieie/JSTS.2022.22.5.304/fig9.png
Fig. 10. Maximum Power Point Tracking (MPPT): (a) Block diagram; (b) Flowchart algorithm.
../../Resources/ieie/JSTS.2022.22.5.304/fig10.png
Fig. 11. Maximum power point tracking (MPPT) timing diagram.
../../Resources/ieie/JSTS.2022.22.5.304/fig11.png
Fig. 12. Block diagram of Reconfigurable RF-DC converter: (a) Series path operation; (b) Parallel path operation.
../../Resources/ieie/JSTS.2022.22.5.304/fig12.png
Fig. 13. Circuit diagram of Reconfigurable RF-DC Converter: (a) Positive phase; (b) Negative phase operation.
../../Resources/ieie/JSTS.2022.22.5.304/fig13.png
Fig. 14. Design Proposed Hybrid EH system based on wireless power receiver WPR.
../../Resources/ieie/JSTS.2022.22.5.304/fig14.png
Table 2. Performance Comparison and summary of recent RF-DC rectifiers

References

Year

Technology

Frequency

Peak PCE @

RF Input power

Output Voltage @

RF input power

CMOS Technologies

[68]

2016

250 nm CMOS

13.56 MHz

72% @ 1 Vp-p

N.A

[69]

2017

180 nm CMOS

433 MHz

65.3% @ 15.2 dBm

1 V @ -17 dBm

[70]

2019

130 nm CMOS

896 MHz

51 % @ -11 dBm

N.A

[71]

2014

90 nm CMOS

868 MHz

40% @ -17 dBm

1 V @ -27 dBm

[54]

2013

90 nm CMOS

868 MHz

31.5 % @ -15 dBm

1 V @ -26.3 dBm

[72]

2013

130 nm CMOS

868 MHz

58 @ -3 dBm

2 V @ -16 dBm

[9]

2020

180 nm CMOS

902 MHz

33 % @ -8 dBm 20 % @ -18 dBm

3.23 @ -8 dBm

[5]

2019

180 nm CMOS

900 MHz

48.2% @ 0 dBm

31.8% @ -20 dBm

41.1% @ 20 dBm

3.23 V @ 0 dBm

[73]

2017

65 nm CMOS

900 MHz

36.5% @ -10 dBm

2.3 V @ -10 dBm

[74]

2022

180 nm CMOS

900 MHz 2.4 GHz

38.5% @ -12 dBm 26.5% @ -6 dBm

4.8 V @ -12 dBm

[75]

2017

180 nm CMOS

915 MHz

26% @ 0 dBm

1 V @ -14.8 dBm

[77]

2017

130 nm CMOS

953 MHz

73.9% @ 4.34 dBm

3.5 V @ -12 dBm

[77]

2015

130 nm CMOS

915 MHz

32% @ -15 dBm

3.2 V @ -15 dBm

[78]

2014

130 nm CMOS

915 MHz

22.6% @ -16.8 dBm

2.2 V @ -16.8 dBm

[79]

2019

65 nm CMOS

2.45 GHz

48.3% @ -3 dBm

N. A

[69]

2016

180 nm CMOS

2.4 GHz

38.4% @ 0 dBm

1.25 V @ -22 dBm

[80]

2016

130 nm CMOS

2.40 GHz

30% @ 10 dBm

N.A

[81]

2014

180 nm CMOS

2.4 GHz

46% @ 8.9 dBm

1.3 V @ 8.9 dBm

Diode Technologies

[82]

2013

HSMS-286B

13.56 MHz

55% @ -30 dBm

1.9 V @ -30 dBm

[52]

2014

HSMS-2852

900 MHz

75% @ -10 dBm

1.3 V @ -10 dBm

[83]

2013

HSHS-2852

900 MHz

2.4 GHz

N. A.

2.2 V @ -10 dBm

0.4 V @ -20 dBm

[84]

2013

HSMS-2850

2.45 GHz

N. A.

0.55 V @ -15 dBm

[85]

2019

SMS-7630

2.45 GHz

37.5% @ 13 dBm

12 V @ 13 dBm

[90]

2017

HSMS-2860

5.8 GHz

71% @ 14.77 dBm

5.2 V @ 14.77 dBm

[87]

2017

HSMS-286C

5.8 GHz

64.1% @ 24 dBm

5.1 V @ 24 dBm

[88]

2019

MA4E1319-1

5.8 GHz

73.1% @ 27 dBm

34.2 V @ 27 dBm

V. CONCLUSIONS

In recent years, the state-of-the-art in RF power harvesting technologies has been reviewed in this work. The antenna, impedance matching network (IMN), and RF-DC converter are the three essential modules in an RF power-harvesting system. Aside from recent advancements, there are still a number of areas in which RF power harvesting technology can be improved, such as operation range can extend, transmission loss can be reduced, PCE can be maximized, and the system dimensions can be reduced. Furthermore, RF-EH research in collaboration with other research such as implantation circumstances or underwater zones is gaining widespread focus and emphasis. A lot of interest has been put forward in RF-EH in order to expand the technology's potential. Even though there are still many problems to overcome, research in RF-EH technology is progressively increasing. By overcoming these challenges, the power industry can usher into a new era of clean, sustainable energy.

ACKNOWLEDGMENTS

This paper was supported by Korea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (P0012451, The Competency Development Program for Industry Specialist).

References

1 
Bito J., Bahr R., Hester J. G., Nauroze S. A., Georgiadis A., Tentzeris M. M., May 2017, A Novel Solar and Electromagnetic Energy Harvesting System With a 3-D Printed Package for Energy Efficient Internet-of-Things Wireless Sensors, IEEE Trans. Microw. Theory Tech., Vol. 65, No. 5, pp. 1831-1842DOI
2 
Dini M., Romani A., Filippi M., Bottarel V., Ricotti G., Tartagni M., Oct. 2015, A Nanocurrent Power Management IC for Multiple Heterogeneous Energy Harvesting Sources, IEEE Trans. Power Electron., Vol. 30, No. 10, pp. 5665-5680DOI
3 
Kim S., et al. , Nov. 2014, Ambient RF Energy-Harvesting Technologies for Self-Sustainable Standalone Wireless Sensor Platforms, Proc. IEEE, Vol. 102, No. 11, pp. 1649-1666DOI
4 
Qiu Y., Van Liempd C., het Veld B. O., Blanken P. G., Van Hoof C., Feb. 2011, 5\&\#x03BC;W-to-10mW input power range inductive boost converter for indoor photovoltaic energy harvesting with integrated maximum power point tracking algorithm, in 2011 IEEE International Solid-State Circuits Conference, San Francisco, CA, USA, pp. 118-120DOI
5 
Kim S.-Y., et al. , Jul. 2019, A -20 to 30 dBm Input Power Range Wireless Power System With a MPPT-Based Reconfigurable 48% Efficient RF Energy Harvester and 82% Efficient A4WP Wireless Power Receiver With Open-Loop Delay Compensation, IEEE Trans. Power Electron., Vol. 34, No. 7, pp. 6803-6817DOI
6 
Danial Khan , Zaffar Hayat Nawaz Khan , Kang Yoon Lee , Hamed Abbasizadeh , Jul. 2017, A 33.3% Power Efficiency RF Energy Harvester with -25dBm Sensitivity using Threshold Compensation Scheme, IDEC J. Integr. Circuits Syst., Vol. 3, No. 3, pp. 7-12DOI
7 
Khan D., et al. , May 2018, A Design of Ambient RF Energy Harvester with Sensitivity of ${-}$21 dBm and Power Efficiency of a 39.3% Using Internal Threshold Voltage Compensation, Energies, Vol. 11, No. 5, pp. 1258DOI
8 
Khan D., et al. , Jun. 2019, A CMOS RF Energy Harvester With 47% Peak Efficiency Using Internal Threshold Voltage Compensation, IEEE Microw. Wirel. Compon. Lett., Vol. 29, No. 6, pp. 415-417DOI
9 
Khan D., et al. , 2020, An Efficient Reconfigurable RF-DC Converter With Wide Input Power Range for RF Energy Harvesting, IEEE Access, Vol. 8, pp. 79310-79318DOI
10 
Wang Z., Leonov V., Fiorini P., Van Hoof C., Nov. 2009, Realization of a wearable miniaturized thermoelectric generator for human body applications, Sens. Actuators Phys., Vol. 156, No. 1, pp. 95-102DOI
11 
Din N. Md., Chakrabarty C. K., Bin Ismail A., Devi K. K. A., Chen W.-Y., 2012, DESIGN OF RF ENERGY HARVESTING SYSTEM FOR ENERGIZING LOW POWER DEVICES, Prog. Electromagn. Res., Vol. 132, pp. 49-69DOI
12 
Ottman G. K., Hofmann H. F., Lesieutre G. A., Mar. 2003, Optimized piezoelectric energy harvesting circuit using step-down converter in discontinuous conduction mode, IEEE Trans. Power Electron., Vol. 18, No. 2, pp. 696-703DOI
13 
Warneke B. A., et al. , 2002, An autonomous 16 mm/sup 3/solar-powered node for distributed wireless sensor networks, in Proceedings of IEEE Sensors, Orlando, FL, USA, Vol. 2, pp. 1510-1515DOI
14 
Pinuela M., Mitcheson P. D., Lucyszyn S., Jul. 2013, Ambient RF Energy Harvesting in Urban and Semi-Urban Environments, IEEE Trans. Microw. Theory Tech., Vol. 61, No. 7, pp. 2715-2726DOI
15 
Vyas R. J., Cook B. B., Kawahara Y., Tentzeris M. M., Jun. 2013, E-WEHP: A Batteryless Embedded Sensor-Platform Wirelessly Powered From Ambient Digital-TV Signals, IEEE Trans. Microw. Theory Tech., Vol. 61, No. 6, pp. 2491-2505DOI
16 
Barroca N., et al. , Sep. 2013, Antennas and circuits for ambient RF energy harvesting in wireless body area networks, in 2013 IEEE 24th Annual International Symposium on Personal, Indoor, Mobile Radio Communications (PIMRC), London, pp. 532-537DOI
17 
Takhedmit H., Sep. 2016, Ambient RF power harvesting: Application to remote supply of a batteryless temperature sensor, in 2016 IEEE International Smart Cities Conference (ISC2), Trento, Italy, pp. 1-4DOI
18 
Mimis K., Gibbins D., Dumanli S., Watkins G. T., Apr. 2015, Ambient RF energy harvesting trial in domestic settings, IET Microw. Antennas Propag., Vol. 9, No. 5, pp. 454-462DOI
19 
Andrenko A. S., Xianyang Lin , Miaowang Zeng , Nov. 2015, Outdoor RF spectral survey: A roadmap for ambient RF energy harvesting, in TENCON 2015 - 2015 IEEE Region 10 Conference, Macao, pp. 1-4DOI
20 
Harouni Z., Cirio L., Osman L., Gharsallah A., Picon O., 2011, A Dual Circularly Polarized 2.45-GHz Rectenna for Wireless Power Transmission, IEEE Antennas Wirel. Propag. Lett., Vol. 10, pp. 306-309DOI
21 
Bito J., Hester J. G., Tentzeris M. M., Dec. 2015, Ambient RF Energy Harvesting From a Two-Way Talk Radio for Flexible Wearable Wireless Sensor Devices Utilizing Inkjet Printing Technologies, IEEE Trans. Microw. Theory Tech., Vol. 63, No. 12, pp. 4533-4543DOI
22 
Abouzied M. A., Sanchez-Sinencio E., Nov. 2015, Low-Input Power-Level CMOS RF Energy-Harvesting Front End, IEEE Trans. Microw. Theory Tech., Vol. 63, No. 11, pp. 3794-3805DOI
23 
Yu-Jiun Ren , Kai Chang , Jun. 2006, 5.8-GHz circularly polarized dual-diode rectenna and rectenna array for microwave power transmission, IEEE Trans. Microw. Theory Tech., Vol. 54, No. 4, pp. 1495-1502DOI
24 
Zhang Y., et al. , Jan. 2013, A Batteryless 19 \$\textbackslash mu\$W MICS/ISM-Band Energy Harvesting Body Sensor Node SoC for ExG Applications, IEEE J. Solid-State Circuits, Vol. 48, No. 1, pp. 199-213DOI
25 
Mansano A. L., Li Y., Bagga S., Serdijn W. A., Jun. 2016, An Autonomous Wireless Sensor Node With Asynchronous ECG Monitoring in 0.18 m CMOS, IEEE Trans. Biomed. Circuits Syst., Vol. 10, No. 3, pp. 602-611DOI
26 
Kim Y.-J., Bhamra H. S., Joseph J., Irazoqui P. P., Nov. 2015, An Ultra-Low-Power RF Energy-Harvesting Transceiver for Multiple-Node Sensor Application, IEEE Trans. Circuits Syst. II Express Briefs, Vol. 62, No. 11, pp. 1028-1032DOI
27 
Rajavi Y., Taghivand M., Aggarwal K., Ma A., Poon A. S. Y., May 2017, An RF-Powered FDD Radio for Neural Microimplants, IEEE J. Solid-State Circuits, Vol. 52, No. 5, pp. 1221-1229DOI
28 
Kumar A., Hancke G. P., Dec. 2014, An Energy-Efficient Smart Comfort Sensing System Based on the IEEE 1451 Standard for Green Buildings, IEEE Sens. J., Vol. 14, No. 12, pp. 4245-4252DOI
29 
Stoopman M., Philips K., Serdijn W. A., Jul. 2017, An RF-Powered DLL-Based 2.4-GHz Transmitter for Autonomous Wireless Sensor Nodes, IEEE Trans. Microw. Theory Tech., Vol. 65, No. 7, pp. 2399-2408DOI
30 
Papotto G., Greco N., Finocchiaro A., Guerra R., Leotta S., Palmisano G., Jan. 2018, An RF-Powered Transceiver Exploiting Sample and Hold Operation on the Received Carrier, IEEE Trans. Microw. Theory Tech., Vol. 66, No. 1, pp. 396-409DOI
31 
Leonov V., Jun. 2013, Thermoelectric Energy Harvesting of Human Body Heat for Wearable Sensors, IEEE Sens. J., Vol. 13, No. 6, pp. 2284-2291DOI
32 
Lu J.-J., Yang X.-X., Mei H., Tan C., Oct. 2016, A Four-Band Rectifier With Adaptive Power for Electromagnetic Energy Harvesting, IEEE Microw. Wirel. Compon. Lett., Vol. 26, No. 10, pp. 819-821DOI
33 
Kuhn V., Lahuec C., Seguin F., Person C., May 2015, A Multi-Band Stacked RF Energy Harvester With RF-to-DC Efficiency Up to 84%, IEEE Trans. Microw. Theory Tech., Vol. 63, No. 5, pp. 1768-1778DOI
34 
Scheeler R., Korhummel S., Popovic Z., Jan. 2014, A Dual-Frequency Ultralow-Power Efficient 0.5-g Rectenna, IEEE Microw. Mag., Vol. 15, No. 1, pp. 109-114DOI
35 
Roundy S., Wright P. K., Rabaey J., Jul. 2003, A study of low level vibrations as a power source for wireless sensor nodes, Comput. Commun., Vol. 26, No. 11, pp. 1131-1144DOI
36 
Roundy S., Wright P. K., Oct. 2004, A piezoelectric vibration based generator for wireless electronics, Smart Mater. Struct., Vol. 13, No. 5, pp. 1131-1142DOI
37 
Bi S., Ho C. K., Zhang R., Apr. 2015, Wireless powered communication: opportunities and challenges, IEEE Commun. Mag., Vol. 53, No. 4, pp. 117-125DOI
38 
Ng D. W. K., Lo E. S., Schober R., 2013, Wireless Information and Power Transfer: Energy Efficiency Optimization in OFDMA SystemsDOI
39 
Takacs A., Okba A., Aubert H., Charlot S., Calmon P.-F., May 2017, Recent advances in electromagnetic energy harvesting and Wireless Power Transfer for IoT and SHM applications, in 2017 IEEE International Workshop of Electronics, Control, Measurement, Signals and their Application to Mechatronics (ECMSM), Donostia, San Sebastian, Spain, pp. 1-4DOI
40 
Reinisch H., et al. , Jul. 2011, An Electro-Magnetic Energy Harvesting System With 190 nW Idle Mode Power Consumption for a BAW Based Wireless Sensor Node, IEEE J. Solid-State Circuits, Vol. 46, No. 7, pp. 1728-1741DOI
41 
Xia M., Aissa S., Jun. 2015, On the Efficiency of Far-Field Wireless Power Transfer, IEEE Trans. Signal Process., Vol. 63, No. 11, pp. 2835-2847DOI
42 
Thomas J. P., Qidwai M. A., Kellogg J. C., Sep. 2006, Energy scavenging for small-scale unmanned systems, J. Power Sources, Vol. 159, No. 2, pp. 1494-1509DOI
43 
Kurs A., Karalis A., Moffatt R., Joannopoulos J. D., Fisher P., Soljačić M., Jul. 2007, Wireless Power Transfer via Strongly Coupled Magnetic Resonances, Science, Vol. 317, No. 5834, pp. 83-86DOI
44 
Lu X., Wang P., Niyato D., Kim D. I., Han Z., 2015, Wireless Networks With RF Energy Harvesting: A Contemporary Survey, IEEE Commun. Surv. Tutor., Vol. 17, No. 2, pp. 757-789DOI
45 
Singh J., Kaur R., Singh D., Jan. 2021, Energy harvesting in wireless sensor networks: A taxonomic survey, Int. J. Energy Res., Vol. 45, No. 1, pp. 118-140DOI
46 
Muhammad S., Jiat Tiang J., Kin Wong S., Iqbal A., Alibakhshikenari M., Limiti E., Oct. 2020, Compact Rectifier Circuit Design for Harvesting GSM/900 Ambient Energy, Electronics, Vol. 9, No. 10, pp. 1614DOI
47 
Liu J., Xue Q., Wong H., Lai H. W., Long Y., Jan. 2013, Design and Analysis of a Low-Profile and Broadband Microstrip Monopolar Patch Antenna, IEEE Trans. Antennas Propag., Vol. 61, No. 1, pp. 11-18DOI
48 
Ali M., Albasha L., Qaddoumi N., Mar. 2013, RF energy harvesting for autonomous wireless sensor networks, in 2013 8th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), Abu Dhabi, pp. 78-81DOI
49 
AbdelTawab A. M., Khattab A., May 2016, Efficient multi-band energy Harvesting circuit for Wireless Sensor nodes, in 2016 Fourth International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC), Cairo, Egypt, pp. 75-78DOI
50 
Agrawal S., Parihar M. S., Kondekar P. N., Jan. 2017, A dual-band RF energy harvesting circuit using 4th order dual-band matching network, Cogent Eng., Vol. 4, No. 1, pp. 1332705DOI
51 
Liu Z., Zhong Z., Guo Y.-X., Sep. 2015, Enhanced Dual-Band Ambient RF Energy Harvesting With Ultra-Wide Power Range, IEEE Microw. Wirel. Compon. Lett., Vol. 25, No. 9, pp. 630-632DOI
52 
Agrawal S., Pandey S. K., Singh J., Parihar M. S., Mar. 2014, Realization of efficient RF energy harvesting circuits employing different matching technique, in Fifteenth International Symposium on Quality Electronic Design, Santa Clara, CA, USA, pp. 754-761DOI
53 
Ito K., Haga N., Nov. 2010, Basic characteristics of wearable antennas for body-centric wireless communications, in 2010 Loughborough Antennas & Propagation Conference, Loughborough, United Kingdom, pp. 42-47DOI
54 
Kaivanto E. K., Berg M., Salonen E., de Maagt P., Dec. 2011, Wearable Circularly Polarized Antenna for Personal Satellite Communication and Navigation, IEEE Trans. Antennas Propag., Vol. 59, No. 12, pp. 4490-4496DOI
55 
Kellomaki T., Heikkinen J., Kivikoski M., Dec. 2006, Effects of bending GPS antennas, in 2006 Asia-Pacific Microwave Conference, Yokohama, Japan, pp. 1597-1600DOI
56 
Kuga N., Arai H., 1996, Circular patch antennas miniaturized by shorting posts, Electron. Commun. Jpn. Part Commun., Vol. 79, No. 6, pp. 51-58DOI
57 
Gianvittorio J. P., Rahmat-Samii Y., Feb. 2002, Fractal antennas: a novel antenna miniaturization technique, applications, IEEE Antennas Propag. Mag., Vol. 44, No. 1, pp. 20-36DOI
58 
Hameed Z., Moez K., Apr. 2017, Design of impedance matching circuits for RF energy harvesting systems, Microelectron. J., Vol. 62, pp. 49-56DOI
59 
Merz C., Kupris G., Sep. 2016, High Q impedance matching for RF energy harvesting applications, in 2016 3rd International Symposium on Wireless Systems within the Conferences on Intelligent Data Acquisition and Advanced Computing Systems (IDAACS-SWS), Offenburg, Germany, pp. 45-50DOI
60 
Song C., et al. , May 2017, Matching Network Elimination in Broadband Rectennas for High-Efficiency Wireless Power Transfer and Energy Harvesting, IEEE Trans. Ind. Electron., Vol. 64, No. 5, pp. 3950-3961DOI
61 
Tran L.-G., Cha H.-K., Park W.-T., Dec. 2017, RF power harvesting: a review on designing methodologies and applications, Micro Nano Syst. Lett., Vol. 5, No. 1, pp. 14DOI
62 
Rosli M. A., Murad S. A. Z., Ismail R. C., 2016, A 900-2400 MHz AC-DC Rectifier Circuit for Radio Frequency Energy Harvesting, MATEC Web Conf., Vol. 78, pp. 01096DOI
63 
Papotto G., Carrara F., Palmisano G., Sep. 2011, A 90-nm CMOS Threshold-Compensated RF Energy Harvester, IEEE J. Solid-State Circuits, Vol. 46, No. 9, pp. 1985-1997DOI
64 
Shameli A., Safarian A., Rofougaran A., Rofougaran M., De Flaviis F., Jun. 2007, Power Harvester Design for Passive UHF RFID Tag Using a Voltage Boosting Technique, IEEE Trans. Microw. Theory Tech., Vol. 55, No. 6, pp. 1089-1097DOI
65 
Hoarau C., Corrao N., Arnould J.-D., Ferrari P., Xavier P., Nov. 2008, Complete Design and Measurement Methodology for a Tunable RF Impedance-Matching Network, IEEE Trans. Microw. Theory Tech., Vol. 56, No. 11, pp. 2620-2627DOI
66 
Marrocco G., Feb. 2008, The art of UHF RFID antenna design: impedance-matching and size-reduction techniques, IEEE Antennas Propag. Mag., Vol. 50, No. 1, pp. 66-79DOI
67 
deMingo J., Valdovinos A., Crespo A., Navarro D., Garcia P., Feb. 2004, An RF Electronically Controlled Impedance Tuning Network Design and Its Application to an Antenna Input Impedance Automatic Matching System, IEEE Trans. Microw. Theory Tech., Vol. 52, No. 2, pp. 489-497DOI
68 
Zeng Z., Li X., Bermak A., Tsui C.-Y., Ki W.-H., May 2016, A WLAN 2.4-GHz RF energy harvesting system with reconfigurable rectifier for wireless sensor network, in 2016 IEEE International Symposium on Circuits and Systems (ISCAS), Montréal, QC, Canada, pp. 2362-2365DOI
69 
Ouda M. H., Khalil W., Salama K. N., May 2017, Self-Biased Differential Rectifier With Enhanced Dynamic Range for Wireless Powering, IEEE Trans. Circuits Syst. II Express Briefs, Vol. 64, No. 5, pp. 515-519DOI
70 
Saffari P., Basaligheh A., Moez K., Dec. 2019, An RF-to-DC Rectifier With High Efficiency Over Wide Input Power Range for RF Energy Harvesting Applications, IEEE Trans. Circuits Syst. Regul. Pap., Vol. 66, No. 12, pp. 4862-4875DOI
71 
Stoopman M., Keyrouz S., Visser H. J., Philips K., Serdijn W. A., Mar. 2014, Co-Design of a CMOS Rectifier and Small Loop Antenna for Highly Sensitive RF Energy Harvesters, IEEE J. Solid-State Circuits, Vol. 49, No. 3, pp. 622-634DOI
72 
Scorcioni S., Larcher L., Bertacchini A., Vincetti L., Maini M., May 2013, An integrated RF energy harvester for UHF wireless powering applications, in 2013 IEEE Wireless Power Transfer (WPT), Perugia, Italy, pp. 92-95DOI
73 
Lu Y., et al. , Feb. 2017, A Wide Input Range Dual-Path CMOS Rectifier for RF Energy Harvesting, IEEE Trans. Circuits Syst. II Express Briefs, Vol. 64, No. 2, pp. 166-170DOI
74 
Basim M., et al. , Mar. 2022, A Highly Efficient RF-DC Converter for Energy Harvesting Applications Using a Threshold Voltage Cancellation Scheme, Sensors, Vol. 22, No. 7, pp. 2659DOI
75 
Abouzied M. A., Ravichandran K., Sanchez-Sinencio E., Mar. 2017, A Fully Integrated Reconfigurable Self-Startup RF Energy-Harvesting System With Storage Capability, IEEE J. Solid-State Circuits, Vol. 52, No. 3, pp. 704-719DOI
76 
Moghaddam A. K., Chuah J. H., Ramiah H., Ahmadian J., Mak P.-I., Martins R. P., Apr. 2017, A 73.9%-Efficiency CMOS Rectifier Using a Lower DC Feeding (LDCF) Self-Body-Biasing Technique for Far-Field RF Energy-Harvesting Systems, IEEE Trans. Circuits Syst. Regul. Pap., Vol. 64, No. 4, pp. 992-1002DOI
77 
Hameed Z., Moez K., Apr. 2015, A 3.2 V -15 dBm Adaptive Threshold-Voltage Compensated RF Energy Harvester in 130 nm CMOS, IEEE Trans. Circuits Syst. Regul. Pap., Vol. 62, No. 4, pp. 948-956DOI
78 
Hameed Z., Moez K., Sep. 2014, Hybrid Forward and Backward Threshold-Compensated RF-DC Power Converter for RF Energy Harvesting, IEEE J. Emerg. Sel. Top. Circuits Syst., Vol. 4, No. 3, pp. 335-343DOI
79 
Xu P., Flandre D., Bol D., Oct. 2019, Analysis, Modeling, Design of a 2.45-GHz RF Energy Harvester for SWIPT IoT Smart Sensors, IEEE J. Solid-State Circuits, Vol. 54, No. 10, pp. 2717-2729DOI
80 
Dehghani S., Johnson T., May 2016, A 2.4-GHz CMOS Class-E Synchronous Rectifier, IEEE Trans. Microw. Theory Tech., Vol. 64, No. 5, pp. 1655-1666DOI
81 
Li C.-J., Lee T.-C., Feb. 2014, 2.4-GHz High-Efficiency Adaptive Power, IEEE Trans. Very Large Scale Integr. VLSI Syst., Vol. 22, No. 2, pp. 434-438DOI
82 
European Association on Antennas and Propagation, Ed., 2013 7th European Conference on Antennas and Propagation (EuCAP 2013): Gothenburg, Sweden, 8 - 12 April 2013. Piscataway, NJ: IEEE, 2013Google Search
83 
Thierry T., Ludivine F., Laurent O., Valerie V., Jun. 2013, COTS-based modules for far-field radio frequency energy harvesting at 900MHz and 2.4GHz, in 2013 IEEE 11th International New Circuits and Systems Conference (NEWCAS), Paris, France, pp. 1-4DOI
84 
Alam S. B., Ullah M. S., Moury S., May 2013, Design of a low power 2.45 GHz RF energy harvesting circuit for rectenna, in 2013 International Conference on Informatics, Electronics and Vision (ICIEV), Dhaka, Bangladesh, pp. 1-4DOI
85 
Fan S., et al. , Sep. 2019, A 2.45-GHz Rectifier-Booster Regulator With Impedance Matching Converters for Wireless Energy Harvesting, IEEE Trans. Microw. Theory Tech., Vol. 67, No. 9, pp. 3833-3843DOI
86 
Wang C., Shinohara N., Mitani T., Apr. 2017, Study on 5.8-GHz Single-Stage Charge Pump Rectifier for Internal Wireless System of Satellite, IEEE Trans. Microw. Theory Tech., Vol. 65, No. 4, pp. 1058-1065DOI
87 
Bae J., et al. , Dec. 2017, High-efficiency rectifier (5.2 GHz) using a Class-FDickson charge pump, Microw. Opt. Technol. Lett., Vol. 59, No. 12, pp. 3018-3023DOI
88 
Bae J., et al. , Jul. 2019, 5.8 GHz High-Efficiency RF-DC Converter Based on Common-Ground Multiple-Stack Structure, Sensors, Vol. 19, No. 15, pp. 3257DOI
89 
Umeda T., Yoshida H., Sekine S., Fujita Y., Suzuki T., Otaka S., Jan. 2006, A 950-MHz Rectifier Circuit for Sensor Network Tags With 10-m Distance, IEEE J. Solid-State Circuits, Vol. 41, No. 1, pp. 35-41DOI
90 
Hongchin Lin , Kai-Hsun Chang , Shyh-Chyi Wong , 1999, Novel high positive and negative pumping circuits for low supply voltage, in ISCAS’99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349), Orlando, FL, USA, Vol. 1, pp. 238-241DOI
91 
Hagerty J. A., Helmbrecht F. B., McCalpin W. H., Zane R., Popovic Z. B., Mar. 2004, Recycling Ambient Microwave Energy With Broad-Band Rectenna Arrays, IEEE Trans. Microw. Theory Tech., Vol. 52, No. 3, pp. 1014-1024DOI
92 
Ghovanloo M., Najafi K., Nov. 2004, Fully integrated wideband high-current rectifiers for inductively powered devices, IEEE J. Solid-State Circuits, Vol. 39, No. 11, pp. 1976-1984DOI
93 
Penella-López M. T., Gasulla-Forner M., 2011, Radiofrequency Energy Harvesting, in Powering Autonomous Sensors, Dordrecht: Springer Netherlands, pp. 125-147DOI
94 
Dickson J. F., Jun. 1976, On-chip high-voltage generation in MNOS integrated circuits using an improved voltage multiplier technique, IEEE J. Solid-State Circuits, Vol. 11, No. 3, pp. 374-378DOI
95 
Nintanavongsa P., Muncuk U., Lewis D. R., Chowdhury K. R., Mar. 2012, Design Optimization and Implementation for RF Energy Harvesting Circuits, IEEE J. Emerg. Sel. Top. Circuits Syst., Vol. 2, No. 1, pp. 24-33DOI
96 
Devi K. K. A., Din N. Md., Chakrabarty C. K., 2012, Optimization of the Voltage Doubler Stages in an RF-DC Convertor Module for Energy Harvesting, Circuits Syst., Vol. 03, No. 03, pp. 216-222DOI
97 
Marshall B. R., Morys M. M., Durgin G. D., Apr. 2015, Parametric analysis and design guidelines of RF-to-DC Dickson charge pumps for RFID energy harvesting, in 2015 IEEE International Conference on RFID (RFID), San Diego, CA, USA, pp. 32-39DOI
98 
Jabbar H., Song Young., Jeong T., Feb. 2010, RF energy harvesting system and circuits for charging of mobile devices, IEEE Trans. Consum. Electron., Vol. 56, No. 1, pp. 247-253DOI
99 
Kotani K., Sasaki A., Ito T., Nov. 2009, High-Efficiency Differential-Drive CMOS Rectifier for UHF RFIDs, IEEE J. Solid-State Circuits, Vol. 44, No. 11, pp. 3011-3018DOI
100 
Yi J., Ki W.-H., Tsui C.-Y., Jan. 2007, Analysis and Design Strategy of UHF Micro-Power CMOS Rectifiers for Micro-Sensor and RFID Applications, IEEE Trans. Circuits Syst. Regul. Pap., Vol. 54, No. 1, pp. 153-166DOI
Muhammad Basim
../../Resources/ieie/JSTS.2022.22.5.304/au1.png

Muhammad Basim received his B.S. degree in Electrical engineering (Telecommunication) from the University of Science and Techno-logy Bannu, Pakistan, in 2015, and M.S. degree in Electrical and Computer engineering from Sungkyunkwan University, Suwon, South Korea, in 2020. He served as a Researcher with Integrated Circuits (IC) Laboratory, Sungkyunkwan University from March 2020 till August 2020. Currently, he is working towards his Ph.D. degree in Electrical & Computer Engineering at Sungkyunkwan University. His research interests include RF energy harvesting, wireless power transfer (WPT) systems, and power management ICs designs.

Qurat ul Ain
../../Resources/ieie/JSTS.2022.22.5.304/au2.png

Qurat ul Ain received her B.S. degree in Electronic Engineering from International Islamic University, Islamabad, Pakistan in 2014. She is currently working toward the combined M.S-PhD degree in Electrical & Computer Engineering from the College of Information and Communication Engineering at Sungkyunkwan University, Suwon, Korea. Her research interest includes power ICs design and wireless power transfer systems.

Khuram Shehzad
../../Resources/ieie/JSTS.2022.22.5.304/au3.png

Khuram Shehzad received his B.S degree in Electrical Engineering with specialization in Telecommunication from Government College University, Faisalabad, Pakistan. He is currently pursuing his Combined MS & Ph.D. degree in Electrical and Computer Engineering from the College of Information and Communication Engineering, Sungkyunkwan University, Suwon, Korea. His research interests include the design of high performance data converters including SAR and SD ADC; CMOS RF Transceiver.

Syed Adil Ali Shah
../../Resources/ieie/JSTS.2022.22.5.304/au4.png

Syed Adil Ali Shah received his B.S. degree in Electrical Engineering from COMSATS Institute of Information Technology, Abbottabad, Pakistan, and an MS degree from Sungkyunkwan University in 2019. He is currently working toward a Ph.D. degree in the College of Information and Communication Engineering at Sungkyunkwan University, Suwon, Korea. His research interests include wireless power transfer Systems and Power IC (PMIC) design.

Ali Azam
../../Resources/ieie/JSTS.2022.22.5.304/au5.png

Ali Azam received his B.S. degree from the Department of Electrical and Electronic Engineering at Yonsei University, Seoul, Korea, in 2021. He is currently working toward the M.S degree in Electronic and Computer Engineering at Sungkyunkwan University, Suwon, Korea. His research interest is ADC.

ByeongGi Jang
../../Resources/ieie/JSTS.2022.22.5.304/au6.png

ByeongGi Jang received his B.S. degree from the Department of Electronic Engineering at Chonbuk National University, Jeonju, Korea, in 2015, where he is currently working toward the Combined Ph.D. & M.S degree in College of Information and Communication Engineering, Sungkyunkwan University. His research interests include Power Management IC.

YoungGun Pu
../../Resources/ieie/JSTS.2022.22.5.304/au7.png

YoungGun Pu received his B.S., M.S., and Ph.D. degrees from the Department of Electronic Engi-neering at Konkuk University, Seoul, Korea, in 2006, 2008, and 2012, respectively. From 2012 to 2013, he served as a Senior Engineer in Modem RF Lab at DMC R&D Center, Samsung Electronics, Korea. From 2013 to 2019, he worked as a Senior Engineer at WDT/Hivics, Korea. Currently, he is a research professor at Sungkyunkwan University. His research interest is focused on high-speed interface, CMOS fully integrated frequency synthesizers, oscillators, and RF transceivers.

Joon-Mo Yoo
../../Resources/ieie/JSTS.2022.22.5.304/au8.png

Joon-Mo Yoo received his B.S. degree from the Department of Electronic Engineering at Ajou University, Gyeonggi-do, Korea, in 2002, M.S. from the School of Electrical Engineering at Seoul National University, Seoul, Korea, in 2004 respectively. From 2004 to 2014, he worked as Principal Engineer and R&D Manager in GCT Semiconductor, Inc, at San Jose, CA, and GCT Research, Inc, in Korea, where he’s developing PHS, CDMA, WCDMA, LTE RF Multi-mode Multi band transceivers. From 2014 to 2016, he worked as Chip Development Director at MELFAS, Korea, where he was leading the capacitive touch-screen controller IC development. And then he worked as Sr. Director at Celfras Semiconductor located in Jiangxi province in China from 2016 to 2021, where he leading for the various power of wireless-charging solutions. Currently, he is working at SKAIChips as an R&D executive at Sungkyunkwan University. His research interest is focused on digital circuit design and CMOS RF transceiver and wireless charging solutions.

Kang-Yoon Lee
../../Resources/ieie/JSTS.2022.22.5.304/au9.png

Kang-Yoon Lee received the B.S., M.S., and Ph.D. degrees in the School of Electrical Engineering from Seoul National University, Seoul, Korea, in 1996, 1998, and 2003, respectively. From 2003 to 2005, he was with GCT Semiconductor Inc., San Jose, CA, where he was a Manager of the Analog Division and worked on the design of CMOS frequency synthesizer for CDMA/PCS/PDC and single-chip CMOS RF chip sets for W-CDMA, WLAN, and PHS. From 2005 to 2011, he was with the Department of Electronics Engineering, Konkuk University as an Associate Professor. Since 2012, he has been with the College of Information and Communication Engineering, Sungkyunkwan University, where he is currently a Professor. His research interests include the implementation of power integrated circuits, CMOS RF transceiver, analog integrated circuits, and analog/digital mixed-mode VLSI system design.